到UVM配置或不在DVCON US - chatGPT能做得更好吗?

对于验证工程师和设计人员来说,这都是关于速度和生产力的。当然,UVM是门票,还有…

基于UVM字符串的Factory可以打印基本对象和派生对象

UVM工厂揭秘,第二部分

这是上周关于UVM工厂的高级文章的后续。现在让我们进入技术层面!在这里……

IEEE荣誉汤姆菲茨帕特里克

在IEEE标准协会2022年冬季颁奖典礼上,Tom Fitzpatrick因其在标准开发和…

基于UVM类型的Factory可以打印基本对象和派生对象

UVM工厂揭露,第1部分

当你第一次学习UVM时,大多数概念都是有意义的,即使你是面向对象编程的新手....

安全生命周期组件

安全生命周期评估第3部分:生产力演进

一体化带来了不同。被要求进行安全生命周期研究的全球咨询公司建议该公司……

ISO 26262

ISO 26262…暂态和永久故障的故事

你的设计是否符合ISO 26262标准,并试图决定你的设计是否安全…

三种甜筒冰淇淋,香草的,巧克力的,草莓的

你的UVM口味有糖屑吗?

UVM是一种标准,这意味着每个公司都编写相同的、普遍可互换的测试平台,对吗?不是……

专门化类的池

挖掘专门的SystemVerilog类池

SystemVerilog类是封装变量和操作变量的例程的好方法。如果……

隐式句柄:this

SystemVerilog:隐式句柄

在上一篇博客文章[SC(SECL1)]农民特德让你跟踪他的动物,你写了一些…

Baidu
map