到UVM配置或不在DVCON US - chatGPT能做得更好吗?

对于验证工程师和设计人员来说,这都是关于速度和生产力的。当然,UVM是门票,还有…

基于UVM字符串的Factory可以打印基本对象和派生对象

UVM工厂揭秘,第二部分

这是上周关于UVM工厂的高级文章的后续。现在让我们进入技术层面!在这里……

IEEE荣誉汤姆菲茨帕特里克

在IEEE标准协会2022年冬季颁奖典礼上,Tom Fitzpatrick因其在标准开发和…

基于UVM类型的Factory可以打印基本对象和派生对象

UVM工厂揭露,第1部分

当你第一次学习UVM时,大多数概念都是有意义的,即使你是面向对象编程的新手....

专门化类的池

挖掘专门的SystemVerilog类池

SystemVerilog类是封装变量和操作变量的例程的好方法。如果……

隐式句柄:this

SystemVerilog:隐式句柄

在上一篇博客文章[SC(SECL1)]农民特德让你跟踪他的动物,你写了一些…

SystemVerilog类成员可见性

SystemVerilog类成员可见性

农夫特德想要跟踪他农场上的动物,并要求你编写代码....

将测试模块与接口连接,使用单独的端口进行设计

什么是虚拟接口?

当我学习SystemVerilog验证特性时,有一个概念让我困惑——虚拟接口。这些是什么,为什么…

停车场与汽车和皮卡,加上类变量

类变量和$cast

我之前的文章展示了SystemVerilog类变量如何引用基对象和派生对象。这篇文章向你展示了……

Baidu
map