到UVM配置或不在DVCON US - chatGPT能做得更好吗?

对于验证工程师和设计人员来说,这都是关于速度和生产力的。当然,UVM是门票,还有…

基于UVM字符串的Factory可以打印基本对象和派生对象

UVM工厂揭秘,第二部分

这是上周关于UVM工厂的高级文章的后续。现在让我们进入技术层面!在这里……

IEEE荣誉汤姆菲茨帕特里克

在IEEE标准协会2022年冬季颁奖典礼上,Tom Fitzpatrick因其在标准开发和…

基于UVM类型的Factory可以打印基本对象和派生对象

UVM工厂揭露,第1部分

当你第一次学习UVM时,大多数概念都是有意义的,即使你是面向对象编程的新手....

UVM工厂

在Python验证系列的前一篇文章中,我们讨论了pyuvm如何将配置数据库实现为…

UVM配置DB和作用域

对于任何大型软件项目,您都需要在广泛分离的块之间共享信息开云体育官网入口和控制。坏的时候……

UVM事务编码风格

如何编写一个UVM事务类?在UVM中有一个分裂-如何创建一个序列…

从uvm_sequence_item扩展事务

为什么用uvm_sequence_item构建UVM事务?

什么是UVM事务?UVM中的事务是一个具有信号属性的类,例如地址…

UVM配置DB指南

UVM配置DB指南

我之前的博客文章是关于静态和参数化类的,让您为大游戏做好准备-…

Baidu
map